A 7nm CMOS Technology Platform for Mobile and High Performance Compute Application


Narasimha S., Jagannathan B., Ogino A., Jaeger D., Greene B., Sheraw C., ...Daha Fazla

63rd IEEE Annual International Electron Devices Meeting (IEDM), San-Francisco, Kostarika, 2 - 06 Aralık 2017 identifier

  • Yayın Türü: Bildiri / Tam Metin Bildiri
  • Basıldığı Şehir: San-Francisco
  • Basıldığı Ülke: Kostarika
  • Ankara Üniversitesi Adresli: Evet

Özet

We present a fully integrated 7nm CMOS platform featuring a 3rd generation finFET architecture, SAQP for fin formation, and SADP for BEOL metallization. This technology reflects an improvement of 2.8X routed logic density and >40% performance over the 14nm reference technology described in [13]. A full range of Vts is enabled on-chip through a unique multi-workfunction process. This enables both excellent low voltage SRAM response and highly scaled memory area simultaneously. The HD 6-T bitcell size is 0.0269um(2). This 7nm technology is fully enabled by immersion lithography and advanced optical patterning techniques (like SAQP and SADP). However, the technology platform is also designed to leverage EUV insertion for specific multi-patterned (MIP) levels for cycle time benefit and manufacturing efficiency. A complete set of foundation and complex IP is available in this advanced CMOS platform to enable both High Performance Compute (HPC) and mobile applications.